Tài liệu Thực hành thiết kế mạch số với hdl

Thảo luận trong 'Điện - Điện Tử' bắt đầu bởi Thúy Viết Bài, 5/12/13.

  1. Thúy Viết Bài

    Thành viên vàng

    Bài viết:
    198,891
    Được thích:
    167
    Điểm thành tích:
    0
    Xu:
    0Xu
    THỰC HÀNH THIẾT KẾ MẠCH SỐ VỚI HDL

    Buổi 1.  Tổng quan về các phần mềm thiết kế trên FPGA . 7 

    I.  Giới thiệu Board DE2 của Altera . 7 

    1.  Giới thiệu 7 

    2.  Thành phần . 7 

    3.  Một vài ứng dụng của board DE2 8 

    II.  Cách cài đặt Quartus II 8.0 và Nios II . 9 

    1.  Giới thiệu 9 

    2.  Cài đặt . 10 

    III.  Cách nạp chương trình cho Quartus II 8.0: 13 

    1.  Tạo 1 project: 13 

    2.  Viết chương trình và biên dịch: 17 

    3.  Tạo file mô phỏng (simulate) và bắt đầu simulate . 22 

    4.  Cấu hình chân và nạp lên board 30 

    Buổi 2.  Mạch tổ hợp và mạch tuần tự 40 

    I.  Giới thiệu . 40 

    1.  Giới thiệu 40 

    2.  Hướng dẫn thiết kế FPGA thông qua sơ đồ khối/Schematic 40 

    II.  Bài tập 46 

    Buổi 3.  Lập trình Verilog với mô hình cấu trúc . 48 

    I.  Giới thiệu ModelSim . 48 

    1.  Giới thiệu 48 

    2.  Cài đặt . 48 

    3.  Hướng dẫn 58 

    II.  Bài tập 65 

    Buổi 4.  Mô hình hành vi 67 

    I.  Bài tập 67 

    Buổi 5.  Mô hình hành vi (tt) 71 

    I.  Bài tập 71 

    Buổi 6.  Máy trạng thái 75 

    I.  Giới thiệu . 75 

    1.  Giới thiệu 75 

    2.  Hướng dẫn 75 

    II.  Bài tập 83 
     

    Các file đính kèm:

Đang tải...