Tiến Sĩ Nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng

Thảo luận trong 'THẠC SĨ - TIẾN SĨ' bắt đầu bởi Nhu Ely, 24/1/14.

  1. Nhu Ely

    Nhu Ely New Member

    Bài viết:
    1,771
    Được thích:
    1
    Điểm thành tích:
    0
    Xu:
    0Xu
    LUẬN ÁN TIẾN SĨ VẬT LÝ
    NĂM 2013

    MỤC LỤC
    LỜI CAM ĐOAN I
    LỜI CÁM ƠN .II


    MỤC LỤC . V
    BẢNG CHỮ VIẾT TẮT . X
    DANH MỤC HÌNH XV
    DANH MỤC BẢNG . XIX
    MỞ ĐẦU 1
    CHƯƠNG 1 VAI TRÒ CHỨC NĂNG CỦA DSP, FPGA VÀ THUẬT TOÁN ĐỂ PHÁT TRIỂN, ỨNG DỤNG THIẾT BỊ ĐIỆN TỬ HẠT NHÂN TRONG GHI ĐO BỨC XẠ 4
    1.1. Tình hình nghiên cứu, ứng dụng ở trong và ngoài nước . 4
    1.1.1. Tình hình nghiên cứu, ứng dụng ở ngoài nước . 4
    1.1.2. Tình hình nghiên cứu, ứng dụng ở trong nước . 5
    1.2. Vai trò chức năng của DSP và FPGA . 6
    1.2.1. Xử lý tín hiệu số (DSP) . 6
    1.2.2. Mảng các phần tử logic có khả năng lập trình (FPGA) 8
    1.2.2.1. Giới thiệu 8
    1.2.2.2. Tích hợp các chức năng của FPGA 9
    1.3. Ứng dụng của DSP và FPGA trong thiết bị điện tử 10
    1.4. Phương pháp điện tử kỹ thuật số . 11
    1.4.1. Phương pháp khử tích chập trong cửa sổ động (MWD) thực hiện thuật toán DSP . 11
    1.4.1.1. Giới thiệu 11
    1.4.1.2. Tái cấu trúc điện tích của sự kiện 12
    1.4.2. Phương pháp thiết kế bộ ghi-đo và xử lý tín hiệu bằng kỹ thuật DSP . 17
    1.4.2.1. Giới thiệu hệ phổ kế trên cơ sở DSP 17
    1.4.2.2. Các tầng điện tử chính 17
    1.4.2.3. Cấu trúc bộ tiền xử lý tương tự (APP) và dạng tín hiệu 18
    1.4.2.4. Hình thành xung . 19
    1.4.2.5. Mạch hồi phục đường cơ bản (BLR) . 21
    1.4.2.6. Tác vụ chọn lựa xung . 21
    1.4.2.7. Khóa xóa và phân biệt thời gian tăng . 23
    1.4.3. Mô hình thuật toán DSP dùng trong thiết kế bộ ghi-đo bức xạ 24
    1.4.3.1. Giới thiệu 24
    1.4.3.2. Bộ tạo dạng xung số (DPS) hình thang 25
    1.4.3.3. Nhận xét . 27
    1.4.4. Biến đổi A/D dựa trên phép khử tích chập trong cửa sổ động . 27
    1.4.4.1. Giới thiệu 27
    1.4.4.2. Biến đổi A/D-Biểu diễn tương đương 27
    1.4.5. Phương pháp liên kết cổng logic dùng FPGA trong Max+Plus II . 29
    1.5. Các bộ xử lý xung kiểu số (DPP) và bộ hình thành xung tương tự (APS). Ưu điểm của điện tử truyền thống và điện tử số . 31
    1.5.1. Sơ đồ cấu trúc của bộ DPP và bộ APS . 31
    1.5.2. Ưu và nhược của kỹ thuật lọc số 33
    1.5.2.1. Đáp ứng xung hữu hạn (FIR) . 33
    1.5.2.2. Hồi phục cạnh đỉnh phẳng và khả năng nhập/xuất dữ liệu của MCA . 33
    1.6. Thuật toán xử lý số liệu thực nghiệm 35
    1.6.1. Độ chuẩn xác của đỉnh khi có nền phông . 35
    1.6.2. Độ phân giải năng lượng của đỉnh hấp thụ toàn phần 37
    1.6.3. Tính các đường cong định chuẩn 37
    1.6.4. Độ phi tuyến tích phân (INL) . 37
    1.6.5. Độ phi tuyến vi phân (DNL) . 38
    Tóm tắt chương 1 38


    CHƯƠNG 2 THIẾT KẾ, CHẾ TẠO CÁC KHỐI ĐIỆN TỬ CHỨC NĂNG CHO HỆ GHI-ĐO BỨC XẠ GAMMA VÀ NƠTRON. 41
    2.1. Thiết kế, chế tạo các khối thiết bị dùng FPGA, DSP ghép PC . 41
    2.1.1. Thiết kế-chế tạo khối FPGA-MCA8K 41
    2.1.1.1. Phương pháp ứng dụng và sơ đồ tích hợp các bộ phận điện tử . 41
    2.1.1.2. Bộ xử lý trung tâm (CPU) và hoạt động của khối FPGA-MCA8K . 43
    2.1.1.3. Đặc trưng kỹ thuật của khối FPGA-MCA 8K đã chế tạo 44
    2.1.2. Thiết kế-chế tạo khối DSP-MCA1K dùng FPGA nhờ VHDL . 45
    2.1.2.1. Sơ đồ tổng thể của thiết kế . 45
    2.1.2.2. Các thành phần vi mạch trong thực thể 46
    2.1.2.3. Hình thành bộ nhớ kép (DPRAM) và ROM nhờ ISE 47
    2.1.2.4. Hình thành bộ xử lý trung tâm (CPU) 48
    2.1.2.5. Xây dựng máy phát xung tam giác/hình thang bằng VHDL . 49
    2.1.2.6. Đặc trưng kỹ thuật của thiết bị DSP-MCA1K . 49
    2.1.3. Thiết kế, chế tạo khối DSP-MCA8K dùng FPGA 50
    2.1.3.1. Sơ đồ khối của thiết bị DSP-MCA8K 50
    2.1.3.2. Cấu trúc hệ thống của khối thiết bị DSP-MCA8K 50
    2.1.3.3. Tầng xử lý tương tự-số có sử dụng bộ tiền lọc tương tự (APP) 52
    2.1.3.4. Bộ khử tích chập bằng mạch lọc cao qua (HPD) . 53
    2.1.3.5. Khối làm chậm và trộn tín hiệu 54
    2.1.3.6. Bộ lọc thấp qua (LPF) 55
    2.1.3.7. Tầng phát hiện đỉnh, logic điều khiển và bộ nhớ phổ 56
    2.1.3.8. Tầng giao diện giữa vi điều khiển EZ và thanh ghi/bộ nhớ . 57
    2.1.3.9. Các đặc trưng và tham số kỹ thuật của khối DSP-MCA8K 57
    2.2. Đánh giá khả năng áp dụng các khối điện tử đã chế tạo trong cấu hình đo của hệ phổ kế trùng phùng . 58
    2.2.1. Một số cấu hình hệ đo trùng phùng γ-γ tại Viện NCHN 58
    2.2.1.1. Cơ sở và phương pháp thiết kế 59
    2.2.1.2. Thiết kế nguyên tắc cho hệ trùng phùng số ghi “sự kiện-sự kiện” 59
    2.2.2. Khả năng áp dụng của một số khối điện tử đã chế tạo trong cấu hình của hệ đo trùng phùng 60
    2.3. Thiết kế, chế tạo hệ ghi-đo nơtron qua vi điều khiển dòng EZ-USB . 60
    2.3.1. Các thành phần thiết bị . 61
    2.3.2. Thiết kế, chế tạo khối MCA8K dùng vi điều khiển EZ-USB . 61
    2.3.3. Lưu đồ thuật toán 62
    2.3.4. Đặc trưng kỹ thuật của hệ phổ kế ghi nơtron . 63
    2.4. Phát triển chương trình ứng dụng thu nhận dữ liệu cho hệ ghi-đo gamma và nơtron . 64
    2.4.1. Phát triển chương trình ứng dụng thu nhận dữ liệu MCANRI bằng VC . 64
    2.4.1.1. Lưu đồ thuật toán và giải thích lưu đồ . 64
    2.4.1.3. Chương trình lưu phổ . 65
    2.4.2. Phát triển chương trình ứng dụng dữ liệu DSPMCA bằng LabView . 67
    2.4.2.1. Hàm kết nối thiết bị 68
    2.4.2.2. Các hàm điều khiển luồng dữ liệu . 69
    2.4.2.3. Phần mềm ứng dụng điều khiển thiết bị 70
    2.4.3. Phát triển chương trình vi điều khiển bằng C Keil51 . 74
    2.4.3.1. Chức năng của chương trình vi điều khiển bằng C Keil51 74
    2.4.3.2. Lưu đồ thuật toán và giải thích lưu đồ . 75
    Tóm tắt chương 2 75


    CHƯƠNG 3 KẾT QUẢ THỰC NGHIỆM VÀ THẢO LUẬN 77
    3.1. Mục tiêu, đối tượng, vai trò của thủ tục kiểm tra thiết bị 77
    3.2. Các thiết bị hỗ trợ kiểm tra và điều kiện tiến hành . 78
    3.3. Thí nghiệm kiểm tra các tham số đặc trưng kỹ thuật của thiết bị chế tạo . 79
    3.3.1. Kiểm tra chỉ số kênh của khối thiết bị 79
    3.3.2. Kiểm tra độ phi tuyến vi phân (DNL) 80
    3.3.2.1. Độ phi tuyến vi phân của khối FPGA-MCA8K (DNL) 80
    3.3.2.2. Độ phi tuyến vi phân của khối DSP-MCA8K (DNLFPGA-MCA8KDSP-MCA8K
    3.3.3. Kiểm tra độ phi tuyến tích phân (INL) . 84
    3.3.3.1. Độ phi tuyến tích phân của khối FPGA-MCA8K (INL) . 84
    3.3.3.2. Độ phi tuyến tích phân của khối DSP-MCA8K (INL++) . 82
    FPGA-MCA8K) . 86
    3.3.4. Kiểm tra độ chuẩn xác về số đếm và tần suất dữ liệu vào-ra . 87
    DSP-MCA8K
    3.3.4.1. Độ chuẩn xác về số đếm và tần suất dữ liệu vào-ra của khối FPGAMCA8K .
    3.3.4.2. Độ chuẩn xác về số đếm và tần suất dữ liệu vào-ra của khối DSPMCA8K .8
    3.3.5. Kiểm tra Khi bình phương (χ2 ) . 89
    3.4. Thí nghiệm kiểm tra các đặc trưng vật lý cơ bản của thiết bị ghi-đo bức xạ 90
    3.4.1. Chuẩn năng lượng và tính diện tích đỉnh quang . 90
    3.4.2. Xây dựng đường cong hiệu suất . 93
    3.5. Đo phổ gamma với nguồn 60Co và 137Cs . 94
    3.5.1. Đo phổ thực nghiệm với khối DSP-MCA8K chế tạo lần 1 94
    3.5.2. Đo phổ thực nghiệm với khối DSP-MCA8K chế tạo lần 2 95
    3.6. Kiểm tra khối thiết bị DSP-MCA1K . 97
    3.7. Hệ đếm nơtron dùng trên kênh ngang . 99
    3.8. Thảo luận kết quả thực nghiệm . 100
    3.8.1. Thảo luận kết quả 100
    3.8.2. Một số vấn đề cần đề cập khi số hóa thiết bị bằng VHDL . 102
    KẾT LUẬN 105
    1. Các công việc đã làm được trong luận án 105
    2. Điểm mới của luận án . 106
    3. Ý nghĩa khoa học và thực tiễn 106
    4. Đề xuất hướng nghiên cứu cần tiếp tục . 107
    5. Một số kinh nghiệm rút ra từ luận án . 108
    DANH MỤC CÔNG TRÌNH CỦA TÁC GIẢ 109
    TÀI LIỆU THAM KHẢO 111
    PHỤ LỤC A: HAI PHƯƠNG PHÁP LẬP TRÌNH CHO FPGA DÒNG
    EPM7160E CỦA HÃNG ALTERA DÙNG MÔI TRƯỜNG MAX+PLUS II . 120
    PHỤ LỤC B: THUẬT TOÁN GENIE-2000 ĐỂ ĐỊNH CHUẨN HIỆU SUẤT
    VÀ DIỆN TÍCH ĐỈNH HẤP THỤ TRONG PHỔ GAMMA .122
    PHỤ LỤC C: CHƯƠNG TRÌNH MÃ NGUỒN VHDL ĐỂ PHÁT TRIỂN HỆ PHỔ
    KẾ ĐA KÊNH DSP-BASED MCA 8K . 131
    PHỤ LỤC D: MÃ NGUỒN CHƯƠNG TRÌNH MCA . 140
    PHÁT TRIỂN BẰNG VC
    PHỤ LỤC E: HÌNH ẢNH THIẾT BỊ ĐÃ CHẾ TẠO 145

    MỞ ĐẦU
    Thiết bị điện tử hạt nhân trên cơ sở áp dụng các linh kiện điện tử mạch tích hợp mảng các phần tử logic lập trình được (FPGA) và kỹ thuật xử lý tín hiệu số
    (DSP) là một trong những hướng phát triển mới để xây dựng các hệ thực nghiệm nghiên cứu vật lý hạt nhân và ứng dụng của kỹ thuật hạt nhân đáp ứng những yêu cầu ngày càng cao về độ chính xác của các phép ghi-đo bức xạ ion hóa. Ưu điểm nổi bật của kỹ thuật DSP và công nghệ FPGA là khả năng nâng cao chất lượng trong các thực nghiệm ghi-đo bức xạ hạt nhân, giảm thiểu số lượng các khối điện tử và giảm kinh phí đầu tư. Bên cạnh đó, các hệ thống thiết bị trên cơ sở DSP và FPGA có công suất tiêu thụ thấp nên tiết kiệm năng lượng, điều này đặc biệt quan
    trọng khi xây dựng hệ thống thiết bị lớn. Với những ưu điểm vừa đề cập ở trên, các nghiên cứu áp dụng công nghệ FPGA và kỹ thuật DSP trong các nghiên cứu chế tạo thiết bị ghi-đo bức xạ là rất cần thiết. Tuy nhiên, cho đến những năm gần đây các nghiên cứu áp dụng kỹ thuật DSP và công nghệ FPGA ở trong nước nói chung và tại Viện Nghiên cứu hạt nhân (NCHN) nói riêng còn rất khiêm tốn. Mặc dù có thể trang bị các thiết bị theo công nghệ tích hợp tiên tiến nêu trên bằng cách nhập khẩu sản phẩm từ nước ngoài, song việc tự nghiên cứu phát triển nhằm từng bước nội địa
    hóa các hệ điện tử chuyên dụng đã hoặc chưa có thương mại hóa là nhu cầu thực tế. Vì những lý do đã trình bày ở trên, vấn đề “Nghiên cứu, xây dựng hệ thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm” đã được chọn làm đề tài luận án của nghiên cứu sinh. Các mục tiêu cụ thể đã được xác định trong luận án là nghiên cứu, thiết kế-chế tạo một số khối điện tử phục vụ thí nghiệm đo đếm bức xạ hạt nhân trên các kênh ngang của Lò phản ứng hạt nhân Đà Lạt, bao gồm:
    1) Nghiên cứu ứng dụng dòng
    FPGA đặc thù EPM7160E để thiết kế, chế tạo khối FPGA-MCA8K dùng phương pháp liên kết cổng logic trong môi trường Max+PlusII;
    2) Thiết kế, chế tạo khối DSP-MCA1K và khối DSP-MCA8K dựa trên DSP qua ứng dụng dòng FPGA XC3S400 và XC3S500 trong môi trường ISE;
    3) Phát triển phần mềm logic hóa các thuật toán xử lý tín hiệu số bằng VHDL dùng cho các khối thiết bị được thiết kế-chế tạo;
    4) Phát triển phần mềm ghi-đo và xử lý phổ trên nền Windows XP bằng ngôn ngữ VC++ và LabView, kể cả trình vi điều khiển cho µC.
    Các nội dung nghiên cứu chính đã được thực hiện trong luận án bao gồm:
    ã Phân tích tổng quan về quá trình phát triển hệ phổ kế đa kênh và hệ phổ kế trùng phùng ở trong và ngoài nước.
    ã Nghiên cứu phương pháp khử tích chập trong cửa sổ động (MWD) để thiết kế, chế tạo hệ phổ kế đa kênh kỹ thuật số.
    ã Tiến hành thực nghiệm thiết kế, chế tạo các khối điện tử và thử nghiệm thực tế các khối điện tử đã chế tạo trên dòng nơtron tại kênh ngang Lò phản ứng hạt nhân
    cũng như với một số nguồn đồng vị chuẩn.
    Nhằm thực hiện các nội dung chính vừa nêu, các phương pháp và kỹ thuật được ứng dụng để có được các mục tiêu cụ thể là:
    ã Phương pháp thang trượt chuẩn và kỹ thuật thang bổ chính độ rộng kênh để phát triển thành phần biến đổi tương tự-số trong các khối ADC và MCA.
    ã Phương pháp thiết kế mạch điện tử bằng kiểu lập trình kết nối mạch tích hợp FPGA và kiểu lập trình điều khiển phần cứng bằng ngôn ngữ VHDL.
    ã Kỹ thuật lập trình Windows bằng ngôn ngữ hướng đối tượng C++ và LabView để
    phát triển chương trình điều khiển thu nhận dữ liệu và xử lý phổ.
    ã Phương pháp xử lý số liệu thực nghiệm nhằm xác định các đại lượng vật lý trong phổ và đặc trưng kỹ thuật của hệ thiết bị dùng trong ghi-đo bức xạ ion hóa gồm:
    thuật toán khớp đỉnh đơn với phân bố Gauss bằng phương pháp bình phương tối thiểu, tính diện tích và phương sai của đỉnh hấp thụ toàn phần bằng phương pháp
    thực nghiệm của ORTEC hoặc Genie-2000, định chuẩn năng lượng bằng phép hồi quy bậc hai, tính độ phân giải đỉnh quang qua độ lệch chuẩn của đỉnh, tính các độ
    phi tuyến vi-tích phân (DNL-INL) của hệ thống dùng thuật toán hồi quy tuyến tính cùng các tham số đặc trưng kỹ thuật khác của hệ thiết bị được chế tạo.
    Luận án gồm hai phần chính: phần tổng quan và phần nghiên cứu. Phần tổng quan trình bày và phân tích tình hình nghiên cứu phát triển thiết bị điện tử hạt nhân
    ở trong và ngoài nước, liên quan đến mục tiêu và nội dung của luận án. Phần nghiên cứu trình bày các nội dung nghiên cứu về phương pháp, thực nghiệm và kết quả của
    luận án. Nội dung của luận án được trình bày trong ba chương.

    Chương 1 trình bày tổng quan về quá trình phát triển hệ phổ kế đa kênh và hệ phổ kế trùng phùng ở trong nước và trên thế giới, trong đó tập trung phân tích các hướng nghiên cứu liên
    quan đến mục tiêu và nội dung của luận án; trình bày các phương pháp, kỹ thuật được sử dụng trong luận án, đặc biệt là phương pháp khử tích chập trong cửa sổ động để thiết kế, chế tạo hệ phổ kế đa kênh kỹ thuật số và thuật toán xử lý số liệu thực nghiệm.

    Chương 2 trình bày các thực nghiệm thiết kế, chế tạo và thử nghiệm các khối điện tử; phát triển phần mềm ứng dụng thu nhận dữ liệu và điều khiển thiết bị.

    Chương 3 trình bày các kết quả kiểm tra và áp dụng thử nghiệm thực tế các khối điện tử đã chế tạo; tiến hành ghép nối, thử nghiệm các khối điện tử đã chế tạo thành hệ phổ kế độc lập; các kết quả thực nghiệm khảo sát các đặc trưng của hệ phổ kế đã thiết lập của luận án; tiến hành ghép nối kiểm tra và áp dụng thử nghiệm hệ đo nơtron trên kênh thực nghiệm nằm ngang của Lò phản ứng; kết quả kiểm tra và áp dụng chương trình đã phát triển với các nguồn đồng vị 60Co, 137Eu và thảo luận về các kết quả thực nghiệm thu được. Phần kết luận của luận án nêu lên các kết quả chính, các đóng góp mới của luận án, ý nghĩa khoa học và thực tiễn của luận án, đồng thời đề xuất hướng nghiên cứu cần tiếp tục.
     
Đang tải...