Đồ Án Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E, xây dựng mô hình Giám sát và điều khiển

Thảo luận trong 'Điện - Điện Tử' bắt đầu bởi Thúy Viết Bài, 5/12/13.

  1. Thúy Viết Bài

    Thành viên vàng

    Bài viết:
    198,891
    Được thích:
    167
    Điểm thành tích:
    0
    Xu:
    0Xu
    LỜI MỞ ĐẦU
    Để đơn giản trong việc thiết kế số, người thiết kế cần một ngôn ngữ chuẩn để mô
    tả các mạch điện. Từ đó Hardware Description Languages (HDLs) hay còn gọi là ngôn
    ngữ mô tả phần cứng ra đời. Ngôn ngữ mô tả phần cứng (HDL) là một loại ngôn ngữ
    để thể hiện các thiết kế phần cứng thông qua bằng các phát biểu bằng lời.
    Có 2 ngôn ngữ thông dụng: Verilog HDL và VHDL. Ngôn ngữ mô tả phần cứng
    ngày càng thông dụng được đánh dấu sự phát triển mạnh mẽ của hệ thống nhúng.
    Nắm được tầm quan trọng của vấn đề cùng với định hướng giáo viên hướng dẫn
    đề ra nhóm chúng em tiến hành nghiên cứu và triển khai hệ thồng nhúng trên kit
    Spartan-3E
    , với mong muốn là xây dựng được mô hình “Giám sát và điều khiển thiết
    bị theo nhiệt độ phòng
    ” và lấy đó làm đề tài tốt nghiệp cho mình.
    Nội dung đề tài bao gồm những phần sau:
    Tìm hiểu về cấu trúc kít
    Vi điều khiển nhúng Microblaze
    Tìm hiểu về phần mềm EDK
    Thiết kế ứng dụng nhúng trên kit
    Mặc dù đã cố gắng để hoàn thành tập đề tài, nhưng không tránh khỏi những thiếu
    sót mong quí thầy cô thông cảm. Rất mong được sự góp ý của thầy cô.







    MỤC LỤC
    Trang
    Chương 1: GIỚI THIỆU VỀ CHIP FPGA VÀ NGÔN NGỮ ĐẶC TẢ PHẦN
    CỨNG VHDL . .1
    1.1 KHÁI QUÁT VỀ CHIP FPGA: . .1
    1.1.1 Khái niệm: . 1
    1.1.2 Ứng dụng: . .2
    1.2 NGÔN NGỮ ĐẶC TẢ PHẦN CỨNG VHDL: . .2
    1.2.1 Giới thiệu: . .2
    1.2.2 Cấu trúc một file VHDL: . 3
    1.2.3 Cấu trúc từ vựng: . .4
    1.2.4 Các đối tượng dữ liệu trong VHDL: . .5
    1.2.5 Kiểu dữ liệu: . 6
    1.2.6 Các lệnh tuần tự: . 10
    Chương 2: CẤU TRÚC KIT SPARTANT-3E, VI ĐIỀU KHIỂN MICROBLAZE
    VÀ PHẦN MỀM EDK CỦA HÃNG XILINK . 14
    2.1 CẤU TRÚC KIT SPARTANT-3E CỦA XILINX: . 14
    2.1.1 Các thành phần chính của kit SPARTANT - 3E: . 14
    2.1.2 Bộ tạo xung clock cho FPGA: . .15
    2.1.3 Các nút chuyển nút nhấn và Led: . 15
    2.1.4 Khối LCD: . .17
    2.1.5 Bộ biến đổi tương tự sang số: . 18
    2.2 VI ĐIỀU KHIỂN NHÚNG MICROBLAZE: . .23
    2.2.1 Giới thiệu về vi điều khiển Microblaze: . 23
    2.2.2 Kiến trúc cơ bản của MicrroBlaze: . 24
    2.2.3 Kiến trúc Pipeline của vi điều khiển MicroBlaze: . .25
    2.2.4 Giao tiếp tính hiệu trong MicroBlaze: . 25
    2.3 PHẦN MỀM EDK: . 27
    2.3.1 Giới thiệu Phần mềm EDK: . 27
    2.3.2 Các tập lệnh trong EDK: . .27
    2.3.3 Cách tạo Project với phần mềm EDK: . 32
    Chương 3: PHẦN MỀM VB VÀ GIAO DIỆN ĐIỀU KHIỂN . .39
    3.1 GIỚI THIỆU VỀ NGÔN NGỮ LẬP TRÌNH VB.NET: . .39
    3.2 LẬP TRÌNH GIAO TIẾP CỔNG NỐI TIẾP VB.NET: . .39




    3.2.1 Tự động dò tìm cổng COM: . .39
    3.2.2 Đóng mở cổng COM: . .39
    3.2.3 Nhận dữ liệu từ cổng COM: . .40
    3.2.4 Gửi dữ liệu ra cổng COM: . .40
    3.2.5 Cài đặt tham số cho cổng COM: . .41
    3.2.6 Viết chương trình: . .41
    Chương 4: TRIỂN KHAI XÂY DỰNG HỆ THỐNG NHÚNG TRÊN KIT
    SPARTANT 3E . .53
    4.1 SƠ ĐỒ TỔNG QUÁT VỀ ỨNG DỤNG NHÚNG: . 53
    4.2 XÂY DỰNG CÁC CHIP NHÚNG: . .54
    4.2.1 Khối cảm biến nhiệt: . .54
    4.2.2 Khối điều khiển Camera: . .58
    4.3 XÂY DỰNG CÁC ỨNG DỤNG NHÚNG: . .61
    4.3.1 Sơ đồ giải thuật: . .61
    KẾT LUẬN . .70
    PHỤ LỤC CÁC TỪ VIẾT TẮC . 71
    DANH MỤC TÀI LIỆU THAM KHẢO . .72
     

    Các file đính kèm:

Đang tải...